Saturday, September 27, 2008

NO CLASSES

There will be no classes for my thursday (Oct. 2) and friday (Oct. 3) EE177 sections. I will be attending the funeral of my father at Bato, Plaridel, Misamis Occidental.

Laboratories for EE177/173 will continue till the next week.

Tuesday, September 23, 2008

EE177 Quiz slides

http://stephen562001.googlepages.com/Quiz6.pdf

On Barrel Shifter

Here's a document about the Barrel Shifter [download].

Please correct the number of stages that the Barrel Shifter have, which is 5 as printed in the manual, by changing it to three. Just read the downloadable document given above for additional information.

Monday, September 22, 2008

Lab announcement


September 22, 2008 (Monday)
EE 173 (ABC,ABC1,JP)/EE 177(MN, MN1) Lab Students
For those who have submitted their Worksheet last Friday, you may get them on my table tomorrow (Tuesday) from 10:30am-3pm. It will be used again when you submit the remaining 4 functions/operations of our ALU.

Instructions for everyone:
There is a modification for the Logical operations implementation. Instead of using directly the “AND” and “OR” operations (e.g. c <= a AND b), please implement something like this:
For the AND (this is just an example)
If a = ‘1’ then
if b = ‘1’ then
c <= ‘1’;
else
c<= ‘0’
end if;
else
c<= ‘0’;
end if;


For the OR
If a = ‘1’ then
c <= ‘1’;
elsif b = ‘1’ then
c <= ‘1’;
else
c<= ‘0’;
end if;


For those who have implemented their logic operations in this manner or similar to this, you don’t need to change them.


Visit often this site for further info regarding our lab.

Thursday, September 18, 2008

EE 173/EE 177Lab Students

You should submit your lab worksheet until 2pm today, and you have two ways of submitting your VHDL code (for the 4 out of 8 functions required):

1. Email the codes to stephenhaim(at)yahoo(dot)com(dot)ph
Follow the instructions below:
a. Compress your files (the whole project folder) to a zip file and attached it in your mail.
b. In the Mail’s Subject, follow this format:
For EE 177
EE177- section-Lab5:LastName-FirstName
For EE 173
EE173- section –Lab4:LastName-FirstName
Emails should be sent not later than 5pm, today

2. Submit a hardcopy of your codes on my desk, not later than 5pm, today.

The lab worksheets will be returned back to you on Monday. 

THIS IS FOR STUDENTS WHO HAVEN’T YET SUBMITTED THEIR FIRST 4 OF 8 FUNCTIONS

Sunday, September 14, 2008

EE 177 and EE 173 Diagnostic Exam

This October, before our lab ends, we will have a diagnostic exam on VHDL as our last activity. It would cover basic Logic circuit construction using VHDL, that is simple task like creating a basic entity-architecture description would be given.

Tuesday, September 9, 2008

EE177: LabFive -- Available

Your labFive is now available.
See this link for further details.

EE 173 : LabFour Available

Your Fourth Lab activity is now available. As discussed in the class, you are tasked to come up with the ALU, as specified. Please read the activity manual for more details.

Files
[1] LabFour Manual
[2] LabFour Worksheet

Deadline
The deadline for this lab is distributed as follows:
First Week(7 days after this lab is given to you) - submit a partial implementation of the ALU with 4 out of the 8 functions/operations being describe. You can choose any operations which you will solve first.
Second Week - submit the remaining four operations.
Third Week - submit the overall ALU system.

Important
For students who have EE173 concurrently and are under me in their EE177 lab, please approach me for another lab activity to comply.

Tuesday, September 2, 2008

EE 177 Lecture Notes

Here are the lecture notes that we will use in our class discussion. Please study them in advance.

1. http://stephen562001.googlepages.com/Chapter5.pdf
2.a http://stephen562001.googlepages.com/LCDF4_Chap_05_P1.ppt
b. http://stephen562001.googlepages.com/LCDF4_Chap_05_P2.ppt
c. http://stephen562001.googlepages.com/LCDF4_Chap_05_P3.ppt
3. http://stephen562001.googlepages.com/lec05-6-Seq.ppt

Tutorial
Questions: http://stephen562001.googlepages.com/tutSequential.pdf
Solutions:http://stephen562001.googlepages.com/tutSequentialsol.pdf

EE 177and EE173 Labs

I will provide you for now reading materials in VHDL to enhance further your knowledge on the subject. It would be beneficial if you practice more also.

Here are some lecture notes forVHDL:
VHDL Tutorial
VHDL lecture

Other VHDL resources:
http://sites.google.com/site/ee177laboratory/Home/resources-1

Before the end of the semester, I would give a short exam on VHDL.

(Your lab manuals are yet to be completed by me, so keep posted)

Monday, September 1, 2008

EE 173 Classes: Postponed

Instead of having classes this week, I will leave some notes for you to study and let's have our meeting postponed and we will meet probably next week.

Study on downloading VHDL programs in a SPARTAN 3E Starter Kit.

Take notice of additional activities I will be posting this week.
For your guidance.