Thursday, June 26, 2008

EE 173: labTwo -- update

Instead of giving you labTwo this time, I will just postpone it by giving the activity on our scheduled laboratory.

So for now, you just have to further acquaint yourselves and practice more on coding VHDLs.

Thanks.

VHDL Tutorial

Here's a VHDL Tutorial notes from Jan Van der Spiegel of the Department of Electrical Engineering, University of Pennsylvania.

Wednesday, June 25, 2008

EE 173: labTwo -- tomorrow

I haven't completed yet the instructions for labTwo today, so I will just post them here tomorrow, Thursday (June 26, 2008), in the afternoon.

Thanks.

Tuesday, June 24, 2008

VHDL Resources

Here are the first of a series of VHDL resources that you can download. These are PDF files so you need a PDF reader for these (Acrobat will do).

These are slides from Dr. Pong P. Chu, author of the book entitled "RTL Hardware Design Using VHDL"

[1] Introduction to Digital System Design
[2] Hardware Description Language
[3] Basic Language Constructs of VHDL
[4] Concurrent Signal Assignment Statements
[5] Sequential Statements
[6] Synthesis Of VHDL Code

Welcome

Welcome to the Introduction to FPGA-based Design using VHDL class.

This would be our online portal for our class, both for EE177 and EE173 labs. I will just make distinctions for specific instructions, notes for the two classes.

For EE177 class, each of the article header text would contain "EE177:" followed by the activity or note title. Example, for EE177 lecture one, I could have "EE177: Lecture 1". The same applies for EE177 class, modifying only EE177 to EE173.

This site would also served as an extension of our classroom. If I could find valuable resources, I would put them here too. So visit frequently here too.

You can reach me at stephenhaim[at]yahoo[dot]com[dot]ph. Please indicate your subject name (ee177 or ee173) in the Subject title of your mail.